tuple_bandtuple_bandTupleBandTupleBand (Operator)

Name

tuple_bandtuple_bandTupleBandTupleBand — Berechnen des bitweisen Und zweier Tupel.

Signatur

tuple_band( : : T1, T2 : BAnd)

Herror tuple_band(const Hlong T1, const Hlong T2, Hlong* BAnd)

Herror T_tuple_band(const Htuple T1, const Htuple T2, Htuple* BAnd)

void TupleBand(const HTuple& T1, const HTuple& T2, HTuple* BAnd)

HTuple HTuple::TupleBand(const HTuple& T2) const

static void HOperatorSet.TupleBand(HTuple t1, HTuple t2, out HTuple BAnd)

HTuple HTuple.TupleBand(HTuple t2)

Beschreibung

tuple_bandtuple_bandTupleBandTupleBandTupleBand berechnet das bitweise Und der Eingabetupel T1T1T1T1t1 und T2T2T2T2t2. Falls beide Tupel dieselbe Länge haben, werden die entsprechenden Elemente der beiden Tupel veknüpft. Ansonsten muss entweder T1T1T1T1t1 oder T2T2T2T2t2 die Länge 1 haben. In diesem Fall wird die Operation für jedes Element des längeren Tupels mit dem einzigen Element des anderen Tupels ausgeführt. Die Eingabezahlen müssen ganze Zahlen sein.

Sonderfall: Leere Eingabetupel

Falls eines oder beide der Eingabetupel leer sind, gibt der Operator ein leeres Tupel zurück.

HDevelop Inline-Operation

HDevelop unterstützt eine Inline-Operation für tuple_bandtuple_bandTupleBandTupleBandTupleBand, die innerhalb eines Ausdrucks in der folgenden Syntax verwendet werden kann:

BAnd := T1 band T2

Ausführungsinformationen

Parameter

T1T1T1T1t1 (input_control)  integer(-array) HTupleHTupleHtuple (integer) (int / long) (Hlong) (Hlong)

Eingabetupel 1.

T2T2T2T2t2 (input_control)  integer(-array) HTupleHTupleHtuple (integer) (int / long) (Hlong) (Hlong)

Eingabetupel 2.

BAndBAndBAndBAndBAnd (output_control)  integer(-array) HTupleHTupleHtuple (integer) (int / long) (Hlong) (Hlong)

Binäres Und der Eingabetupel.

Alternativen

tuple_bortuple_borTupleBorTupleBorTupleBor, tuple_bxortuple_bxorTupleBxorTupleBxorTupleBxor, tuple_bnottuple_bnotTupleBnotTupleBnotTupleBnot

Siehe auch

tuple_andtuple_andTupleAndTupleAndTupleAnd, tuple_ortuple_orTupleOrTupleOrTupleOr, tuple_xortuple_xorTupleXorTupleXorTupleXor, tuple_nottuple_notTupleNotTupleNotTupleNot

Modul

Foundation